CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 信道编码 vhdl

搜索资源列表

  1. lunwen

    0下载:
  2. 本文论述了在整个无线收发系统中用软件的方法实现信道编译码系统的功能。实现了一种基于FPGA的信道编译码方法,并给出了VHDL语言的实现方法及仿真波形。信道编译码系统包括发射端的信道编码和接收端的信道译码两大部分。信道编码部分包括汉明编码、基带信号调制本次设计采用DPSK调制方式和并串转换连接模块。译码部分包括汉明译码、DPSK解调和链接模块。本系统的实现过程是:先通过软件编程实现各部分的功能模块,然后编程连接各模块,系统编译仿真通过以后载入FPGA(现场可编程门阵列) 芯片,验证结果。实验表明,
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:416597
    • 提供者:赵冉
搜珍网 www.dssz.com